Electrónica Digital
(Curso Académico 2018 - 2019)
Mostrar Todo


Nota informativa: Atendiendo a la normativa de Protección de Datos y propiedad intelectual en la que se limita la publicación de imágenes de terceras personas sin su consentimiento, aquellos que difundan grabaciones de las sesiones de clase sin previo consentimiento de las personas implicadas, serán responsables ante la ley del uso prohibido de las citadas grabaciones.



1. Datos descriptivos de la asignatura
  • Código: 339393102
  • Centro: Escuela Superior de Ingeniería y Tecnología
  • Lugar de impartición: Escuela Superior de Ingeniería y Tecnología
  • Titulación: Grado en Ingeniería Electrónica Industrial y Automática
  • Plan de Estudios: 2010 (publicado en 12-12-2011)
  • Rama de conocimiento: Ingeniería y Arquitectura
  • Itinerario/Intensificación:
  • Departamento/s:
  • Área/s de conocimiento:
    • Tecnología Electrónica
  • Curso: 3
  • Carácter: Obligatoria
  • Duración: Primer cuatrimestre
  • Créditos ECTS: 6,0
  • Modalidad de impartición: Presencial
  • Horario: Ver horario
  • Dirección web de la asignatura: Ver web de la asignatura
  • Idioma: Castellano e Inglés (0,3 ECTS en Inglés)
2. Requisitos para cursar la asignatura
Se requiere de conocimientos básicos de fundamentos de ingeniería electrónica, fundamentos matemáticos y físicos, y conocimientos de informática.
3. Profesorado que imparte la asignatura

Profesor/a Coordinador/a: MANUEL JESUS RODRIGUEZ VALIDO

Grupo: GTPA,GPE
Área de conocimiento: Tecnología Electrónica
Tutorías Primer cuatrimestre:
HorarioLugar
lunes, martes y jueves 9:30 a 11:30 Zona de despachos en la 2ª Planta de la ETS de Ingeniería Informática
Tutorías Segundo cuatrimestre:
HorarioLugar
lunes, martes y jueves 9:30 a 11:30 Zona de despachos en la 2ª Planta de la ETS de Ingeniería Informática
Teléfono (despacho/tutoría): 922845035
Correo electrónico: mrvalido@ull.es
Web docente: Ver web del docente
4. Contextualización de la asignatura en el plan de estudio
  • Bloque formativo al que pertenece la asignatura: Tecnología Específica: Electrónica Industrial
  • Perfil profesional: Ingeniería en Electrónica Industrial y Automática
5. Competencias

Específicas

  • 21 - Conocimiento de los fundamentos y aplicaciones de la electrónica digital y microprocesadores.
  • 24 - Capacidad para diseñar sistemas electrónicos analógicos, digitales y de potencia.
  • 25 - Conocimiento y capacidad para el modelado y simulación de sistemas.

Generales

  • T3 - Conocimiento en materias básicas y tecnológicas, que les capacite para el aprendizaje de nuevos métodos y teorías, y les dote de versatilidad para adaptarse a nuevas situaciones.
  • T4 - Capacidad de resolver problemas con iniciativa, toma de decisiones, creatividad, razonamiento crítico y de comunicar y transmitir conocimientos, habilidades y destrezas en el campo de la Ingeniería Electrónica Industrial.
  • T9 - Capacidad de trabajar en un entorno multilingüe y multidisciplinar.

Transversales

  • O1 - Capacidad de análisis y síntesis.
  • O2 - Capacidad de organización y planificación del tiempo.
  • O3 - Capacidad de expresión oral.
  • O4 - Capacidad de expresión escrita.
  • O5 - Capacidad para aprender y trabajar de forma autónoma.
  • O6 - Capacidad de resolución de problemas.
  • O7 - Capacidad de razonamiento crítico/análisis lógico.
  • O8 - Capacidad para aplicar los conocimientos a la práctica.
  • O9 - Capacidad para trabajar en equipo de forma eficaz.
  • O10 - Capacidad para diseñar y desarrollar proyectos.
  • O11 - Capacidad para la creatividad y la innovación.
  • O15 - Capacidad para el manejo de especificaciones técnicas y para elaboración de informes técnicos.

Básicas

  • CB1 - Que los estudiantes hayan demostrado poseer y comprender conocimientos en un área de estudio que parte de la base de la educación secundaria general, y se suele encontrar a un nivel que, si bien se apoya en libros de texto avanzados, incluye también algunos aspectos que implican conocimientos procedentes de la vanguardia de su campo de estudio.
  • CB2 - Que los estudiantes sepan aplicar sus conocimientos a su trabajo o vocación de una forma profesional y posean las competencias que suelen demostrarse por medio de la elaboración y defensa de argumentos y la resolución de problemas dentro de su área de estudio.
  • CB3 - Que los estudiantes tengan la capacidad de reunir e interpretar datos relevantes (normalmente dentro de su área de estudio) para emitir juicios que incluyan una reflexión sobre temas relevantes de índole social, científica o ética.
  • CB4 - Que los estudiantes puedan transmitir información, ideas, problemas y soluciones a un público tanto especializado como no especializado.
  • CB5 - Que los estudiantes hayan desarrollado aquellas habilidades de aprendizaje necesarias para emprender estudios posteriores con un alto grado de autonomía.
6. Contenidos de la asignatura

Contenidos teóricos y prácticos de la asignatura

Tema 1.-Tecnologías de Circuitos Integrados Digitales. Familias Lógicas. Evolución histórica.
Tema 2.- Álgebra de Boole (Postulados y teoremas)
Tema 3.- Codificación y sistemas de numeración
Tema 4.- Módulos SSI. Puertas lógicas básicas
Tema 5.- Funciones booleanas simples y múltiples
Tema 6.- Funciones booleanas: Formas canónicas
Tema 7.- Minimización de funciones booleanas
Tema 8.- Lenguaje de descripción hardware (I)
Tema 9.- Implementación de funciones con LUTs
Tema 10.- Módulos MSI.
Tema 11.- Elementos de memoria. Flip-flops
Tema 12.- Lenguaje de descripción de hardware (II)
Tema 13.- Sistemas secuenciales autónomos
Tema 14.- Sistemas secuenciales generalizados. Máquinas Moore y Mealy.

Actividades Prácticas.
El alumno tendrá que realizar un total de 8 actividades practicas.

Actividad 1. Seminario presentación de herramientas y lenguajes de descripción hardware I.
Actividad 2. Seminario presentación de herramientas y lenguajes de descripción hardware II
Actividad 3. Seminario presentación de herramientas y lenguajes de descripción hardware III
Actividad 4. Seminario presentación de herramientas y lenguajes de descripción hardware IV.
Actividades puntuables.
5. Funciones booleanas elementales descritas con VHDL
6. Diseño e implementación de un decodificador de 7 segmentos
7. Controlador de motor por ancho de pulso (PWM).
8. Controlador de sensor ultrasonidos
9 Ayuda a la hormiga a salir del laberinto

A demás de estas cinco practicas el alumno deberá realizar dos actividades complementarias mas relacionadas con multiplexado
y operaciones aritméticas de tal forma que se cubran los contenidos.

Actividades Tutoriales.
Se realizaran dos actividades Tutoriales (1,5 horas cada una)a lo largo del curso, que denominaremos Tutorias 1 y Tutorias 2.En estas actividades, dedicaremos el tiempo a resolver dudas y hacer un resumen de los contenidos dados hasta el momento.

Actividades a desarrollar en otro idioma

Tema .- Tecnología de Dispositivos Lógicos Programables de Alta Densidad, FPGAs.
Cada alumno desarrollara un trabajo sobre las tecnologías de las FPGAs. Se le dará documentación en ingles sobre los avances y aplicaciones de la las FPGAS.
7. Metodología y volumen de trabajo del estudiante

Descripción

El proceso de aprendizaje que se ha diseñado para esta asignatura se basa en lo siguiente: 
El proceso de enseñanza se desarrollará en tres niveles principales: clases de teoría, problemas y laboratorio, con creciente nivel de participación del estudiante. 
- En las clases de teoría se expondrán las bases teóricas de los sistemas electrónicos digitales, ilustrándose con numerosos ejemplos. 
- En las clases de problemas se desarrollarán problemas y casos tipo con la participación de los estudiantes. 
- Se desarrollarán prácticas de laboratorio en grupos reducidos, donde el estudiante montará y comprobará el funcionamiento de circuitos electrónicos digitales 

El programa que se ofrece al estudiante para ayudarle a lograr los resultados previstos comprende las siguientes actividades: 

1 TRABAJO PRESENCIAL: (60 horas) 
1) Clase magistral (38 horas presenciales ver tabla para desglose). 
1.1) Clases teóricas (15 horas): Sesiones expositivas y explicativas de contenidos. Se presentarán los conceptos y fundamentos de los sistemas electrónicos digitales, ilustrándolos con ejemplos reales. Se fomentará la participación del estudiante a través de preguntas y breves debates. 
Los contenidos que se desarrollan son los siguientes: 
- Sistemas de numeración. 
- Álgebra de Boole. 
- Lenguajes de descripción de hardware: VHDL. 
- Circuitos combinacionales. 
- Circuitos secuenciales. 
- Tecnología de los circuitos digitales. 
- Diseño con dispositivos lógicos programables (PLD). 
1.2) Clases de resolución de problemas (15 horas): Se desarrollarán problemas y casos con la participación de los estudiantes, coordinados en todo momento con los contenidos teóricos. Se fomenta que el estudiante 
trabaje previamente los problemas. 
1.3) Seminarios (8 horas): Se desarrollaran distintos seminarios para presentar al alumno los lenguajes de descripción Hardware HDL y herramientas de diseño. 

2) Prácticas de laboratorio (15 horas presenciales). 
Consistirá en la implementación de circuitos digitales, donde se valorará la metodología de diseño, el funcionamiento del circuito, el manejo del instrumental y de las herramientas software del laboratorio. El estudiante dispondrá de un guión de cada práctica, que tendrá que preparar antes de su desarrollo en el laboratorio. 

3) Pruebas de evaluación (4 horas). 
Además de la función calificadora, la evaluación también es una herramienta de aprendizaje con la que el alumno comprueba el grado de comprensión y asimilación alcanzado. 

4) Tutorías (3 horas): Ademas de la tutorías individuales que pueda concertar los alumnos a lo largo del curso (y en horario antes especificado)se dispondrán de tres horas en el aula de clase para resolver dudas sobre conceptos teóricos/prácticos así como para resumir/esquematizar los contenidos. 


2 TRABAJO NO PRESENCIAL: (90 horas) 
1) Trabajos docentes (25 horas). 
Se incluye en este apartado la elaboración del trabajo previo requerido en la preparación de las prácticas de laboratorio, así como la elaboración de los informes de las prácticas realizadas. 
2) Estudio (60 horas). 
Se fomentará el trabajo continuo del estudiante mediante la distribución homogénea a lo largo del semestre de las diversas actividades de aprendizaje. 
Periódicamente se propondrá al estudiante ejercicios y casos a desarrollar por su cuenta, algunos de los cuales se resolverán en las clases presenciales. 
Las tutorías permiten una atención directa al estudiante, identificación de problemas de aprendizaje, orientación en la asignatura, atención a ejercicios y trabajos. 

 

Actividades formativas en créditos ECTS, su metodología de enseñanza-aprendizaje y su relación con las competencias que debe adquirir el estudiante

Actividades formativas Horas presenciales Horas de trabajo autónomo Total horas Relación con competencias
Clases teóricas 15,00 0,00 15,0 [T3], [T4], [21], [24], [25], [O1], [O4], [O6], [O7], [CB1], [CB2], [CB3], [CB4], [CB5]
Clases prácticas (aula / sala de demostraciones / prácticas laboratorio) 30,00 0,00 30,0 [T3], [T4], [T9], [21], [24], [25], [O1], [O5], [O6], [O8], [O9], [O10], [O11], [O15], [CB1], [CB2], [CB3], [CB4], [CB5], [O2], [O3]
Realización de seminarios u otras actividades complementarias 8,00 0,00 8,0 [T9], [25], [CB1], [CB2], [CB3], [CB4], [CB5]
Estudio/preparación de clases teóricas 0,00 30,00 30,0 [T3], [T4], [21], [24], [25], [O1], [O2], [O5], [O7], [CB1], [CB2], [CB3], [CB4], [CB5]
Estudio/preparación de clases prácticas 0,00 30,00 30,0 [T3], [T4], [T9], [21], [24], [25], [O3], [CB1], [CB2], [CB3], [CB4], [CB5]
Preparación de exámenes 0,00 30,00 30,0 [21], [O1], [O2], [O4], [O5], [CB1], [CB2], [CB3], [CB4], [CB5]
Realización de exámenes 4,00 0,00 4,0 [25], [O1], [O2], [O4], [O8], [CB1], [CB2], [CB3], [CB4], [CB5]
Asistencia a tutorías 3,00 0,00 3,0 [O6], [CB1], [CB2], [CB3], [CB4], [CB5]
Total horas 60.0 90.0 150.0
Total ECTS 6,00
8. Bibliografía / Recursos

Bibliografía básica

Jose luis  Artigas, “Electrónica Digital. Aplicaciones y problemas con VHDL” Prentice Hall
ROTH: "Fundamentos de Diseño Lógico", Thomson, 5ª edición, 2004, ISBN 84-9732-286-X
T. Pollán, "Electrónica Digital", Prensas Universitarias de Zaragoza. Colección Textos Docentes, 3ª
edición, 2007. Disponible en http://diec.cps.unizar.es/~tpollan/
WAKERLY: "Diseño Digital. Principios y Prácticas", Prentice Hall, 3ª edición, 2001, ISBN 0-13-769191-2
HAYES: "Introducción al Diseño Lógico Digital", Addison-Wesley, 1996, ISBN 0-201-62590-3

Bibliografía complementaria

HILL, PETERSON: "Teoría de Conmutación y Diseño Lógico", Limusa, 1ª edición, ISBN 968-18-0551-8 
NELSON, NAGLE, CARROLL, IRWIN: "Análisis y Diseño de Circuitos Lógicos Digitales", Prentice Hall, 1ª edición, 1996, ISBN 968-880-706-0 
MANO: "Diseño Digital", Prentice Hall, 3ª edición, 2003, ISBN 970-26-0438-9 
UYEMURA: "Diseño de Sistemas Digitales. Un enfoque integrado", Thomson, 2000, ISBN 960-7529-96-2 
ANGULO, GARCÍA: "Sistemas Digitales y Tecnología de Computadoras", Thomson, 1ª edición, 2003, ISBN 84-9732-042-5.

Otros recursos

Pagina virtual de la asignatura se pondran enlaces a documentos y tutoriales.
ISE Foundation y Vivado , disponible en www.xilinx.com.

9. Sistema de evaluación y calificación

Descripción

La Evaluación de la asignatura se rige por el Reglamento de Evaluación y Calificación de la Universidad de La Laguna (BOC de 19 de enero de 2016), o el que la Universidad tenga vigente, además de por lo establecido en la Memoria de Verificación inicial o posteriores modificaciones. 

La EVALUACIÓN CONTINUA se realizará de la siguiente forma basada en las dos actividades de la materia: 

1. El contenido práctico (informes de prácticas, trabajos realizados, actividades tuteladas, etc., durante las clases de prácticas), se evaluará por su capacidad expositiva, relevancia, actualidad de los contenidos y bibliografía, así como por el dominio y manejo de la terminología y contenidos propios de la materia. Esta evaluación se realizará (de manera estimada) quincenalmente y supondrá un 60% de la nota final, siendo obligatorio asistir al 80% de la evaluación 
formativa. 
2. La prueba final de la evaluación continua se realizará en la primera convocatoria de la asignatura (cualquiera de los dos llamamientos) y consistirá en un examen teórico-práctico, suponiendo el 40% de la nota final. 

Se considerará la materia aprobada con la obtención de una calificación final de 5 sobre 10. 
Para obtener dicha calificación final será necesario haber alcanzado una nota mínima de 4,5 sobre 10 en el contenido práctico y la prueba final. 

La EVALUACIÓN ALTERNATIVA para aquel alumnado que esté en la situación prevista en el artículo 13.5 del Reglamento de Evaluación, Calificación, Revisión e Impugnación de calificaciones y Rectificación de Actas de la Universidad de La Laguna (BOC 81, 19 de ENERO de 2016) habrá de ser justificada y tendrán en cualquiera de las convocatorias un examen compuesto de dos partes. La primera relacionada con el contenido teórico de la asignatura, evaluando 
habilidades formales y conocimientos adquiridos, que supondrá como máximo el 40% de la calificación final. La otra parte del examen final se corresponderá con las actividades prácticas y formativas (60%), evaluando los conocimientos y habilidades desarrollados en dichas actividades. 
Se considerará la materia aprobada con la obtención de una calificación final de 5 sobre 10. 

Disposiciones adicionales: 
- De acuerdo con el artículo 5 del Reglamento antes mencionado, las prácticas de esta asignatura que hayan sido superadas en la evaluación continua, se tendrán en cuenta en la evaluación final. 
- De acuerdo con el artículo 24 del citado reglamento, se considera que el alumnado se habrá presentado a evaluación continua desde el momento en que haya realizado un 25% o más de las actividades que computan para la calificación final 

Estrategia Evaluativa

Tipo de prueba Competencias Criterios Ponderación
Pruebas objetivas [CB1], [CB2], [CB3], [CB4], [CB5], [T3], [T4], [21], [24], [25], [O1], [O3], [O6], [O7], [O8] - Demostrar conocimientos fundamentos y aplicaciones de la electrónica digital y microprocesadores.
- Expresarse con concreción y adecuadamente al comunicar ideas por escrito en el desarrollo de preguntas teóricas.
- Demostrar, con la resolución d
40 %
Trabajos y proyectos [CB1], [CB2], [CB3], [CB4], [CB5], [T9], [O1], [O2], [O3], [O4], [O8], [O9], [O10], [O11], [O15] - Capacidad de trabajar en grupo.
- Capacidad de poder fabricar un sistema electrónico digital
-Capacidad para enfrentarse a problema reales y solucionarlos
20 %
Informes memorias de prácticas [CB1], [CB2], [CB3], [CB4], [CB5], [O4], [O5] - Expresarse con concreción y adecuadamente al comunicar ideas por escrito en el desarrollo de los informes de cada pracitcas. 5 %
Pruebas de ejecuciones de tareas reales y/o simuladas [CB1], [CB2], [CB3], [CB4], [CB5], [T3], [T4], [T9], [21], [24], [25] - Capacidad de trabajar en grupo.
- Capacidad de poder fabricar un sistema electrónico digital
30 %
Escala de actitudes [CB1], [CB2], [CB3], [CB4], [CB5], [T3], [T4], [T9], [21], [24], [25] - Capacidad de trabajar en un entorno multilingüe y multidisciplinar. 5 %
10. Resultados de Aprendizaje
El estudiante, para superar esta asignatura, deberá demostrar los siguientes resultados: 

1 Maneja la codificación de la información y el álgebra de Boole y construye electrónicamente funciones lógicas. 

2 Identifica y explica la funcionalidad de los bloques digitales habituales y es capaz de combinarlos y utilizarlos. 

3 Explica el significado y la funcionalidad del sincronismo y lo tiene en cuenta en los diseños. 

4 Aplica los grafos de estado a la descripción de circuitos electrónicos secuenciales y es capaz de resolverlos en términos de funciones booleanas. 

5 Es capaz de construir diagramas de bloques de sistemas digitales de aplicación industrial de cierta complejidad. 

6 Explica la tecnología CMOS, está familiarizado con sus características funcionales e interpreta las hojas de datos de los circuitos integrados comerciales digitales. 

7 Posee habilidad de montaje de circuitos digitales en el laboratorio para su comprobación y utiliza herramientas de simulación. 

8. Posee la habilidad de, a partir de una idea, sintetizar, implementar y analizar circuitos y sistemas digitales. 

9 Posee la habilidad de usar los lenguajes de descripción hardware (HDL) para diseñar e implementar circuitos y sistemas digitales. 
11. Cronograma / calendario de la asignatura

Descripción

Calendario de sesiones presenciales y presentación de trabajos practicos 
Las clases magistrales y de problemas y las sesiones de prácticas en el laboratorio se imparten según horario establecido por el Centro, que es publicado con anterioridad a la fecha de comienzo del curso. Las fechas de exámenes de las convocatorias oficiales también son fijadas por el Centro. 

Cada profesor informará de su horario de atención de tutoría. 
El resto de actividades se planificará en función del número de alumnos y se dará a conocer con la suficiente antelación. Podrá consultarse en el aula virtual de la asignatura.

Primer cuatrimestre

Semana Temas Actividades de enseñanza aprendizaje Horas de trabajo presencial Horas de trabajo autónomo Total
Semana 1: Tema 1 Clases teóricas.
 
2.00 3.00 5.00
Semana 2: Tema 2 y 3
Actividad 1
Clases teóricas.
 
3.00 4.50 7.50
Semana 3: Tema 3 y 4
Actividad 2
Clases teóricas.
Clases de problemas
Seminario aula laboratorio diseño
Evaluación Actividad 1
5.00 7.50 12.50
Semana 4: tema 4
Actividad 3
Seminario aula laboratorio diseño
Evaluación Actividad 2


 
3.00 4.50 7.50
Semana 5: Tema 5
Actividad 4
Clases teóricas.
Seminario aula laboratorio diseño
Evaluación Actividad 3
4.00 6.00 10.00
Semana 6: Tema 6
Actividad 5
Clases teóricas.
Evaluación Actividad 4
Clases de problemas
3.00 4.50 7.50
Semana 7: Tema 7 Clases teóricas.
Clases de problemas
Evaluación Actividad 5
Practica de laboratorio aula laboratorio diseño
5.00 7.50 12.50
Semana 8: Tema 8 y 9
Actividad 5
Clases teóricas.
Clases de problemas
Practica de laboratorio aula laboratorio diseño
5.00 7.50 12.50
Semana 9: Tema 9 y 10
Actividad 6
Clases teóricas.
Clases de problemas
Practica de laboratorio aula laboratorio diseño
5.00 6.00 11.00
Semana 10: Tema 11
Tutorias 1
Clases teóricas.
Tutorias en el aula
Evaluación Actividad 5 y 6
3.00 4.50 7.50
Semana 11: Tema 12
Actividad 7
Clases teóricas.
Practica de laboratorio aula laboratorio diseño
4.00 6.00 10.00
Semana 12: Tema 13 y 14
Actividad 8
Clases teóricas.
Clases de problemas
Practica de laboratorio aula laboratorio diseño
5.00 6.00 11.00
Semana 13: Tema 14
Actividad 9
Clases de problemas
Evaluación Actividad 6 y 7
Practica de laboratorio aula laboratorio diseño
3.00 6.00 9.00
Semana 14: Tutorias 2
Actividad 9
Tutorias en el aula
Practica de laboratorio aula laboratorio diseño
Evaluación Actividad 9
4.00 6.00 10.00
Semana 15: Tema 15
Actividades complementarias en otro idiomas
Presentación y evaluación de Trabajos
 
3.00 4.50 7.50
Semana 16 a 18: Examen de convocatoria exámenes en los días que disponga el centro 3.00 6.00 9.00
Total 60.00 90.00 150.00
Fecha de última modificación: 04-07-2018
Fecha de aprobación: 06-07-2018